首页 > 科技 > 【芯调查】Chiplet热潮下的误解与迷思:是机遇而非救世主

【芯调查】Chiplet热潮下的误解与迷思:是机遇而非救世主

集微网报道(文/王小方) 即便存在一些争议,这股Chiplet的热潮仍将延续。

眼下,Chiplet俨然已成为二级市场最热门的题材之一。然而每当一个新的热点涌现,免不了引起人们内心的警惕。对Chiplet而言,提出质疑之声是必要的,因为即便是对其有着深刻认识的业内人士,也会在某些概念和对Chiplet的预期上产生分歧。

集微网在与多位业内人士交流后发现,这股“Chiplet热”与国内目前的独特语境有关。随着美国制裁的升级,芯片国产化的呼声愈加高涨,行业情绪正迫切需要一个出口,Chiplet适时出现并迅速被捕获。

然而,在一片喧嚣中,Chiplet却逐渐走形,并被夸大成一种颠覆性技术,更有甚者将其视为国内半导体产业摆脱掣肘,实现快速弯道超车的抓手。他们似乎忘记了,对国内半导体产业而言,从来就没有什么救世主。

Chiplet遭遇片面理解

“狭义上的Chiplet并不适合国内半导体产业发展的实际情况。”电子科技大学副教授黄乐天开门见山地表明自己的看法,这让人颇感意外。

“国内发展先进封装、异构集成的路线是对的,但发展方向不应是Chiplet,起码不应是头部大厂目前所做的Chiplet。因为Chiplet旨在解决先进制程面临的高成本、低良率问题,它是建立在先进封装工艺基础上的一种设计方法,在既没有先进制程且先进封装工艺尚薄弱的情况下,扬言发展Chiplet就不免显得有些荒诞了。”黄乐天直言。

在先进制程不断升级,摩尔定律愈发难以为继的背景下,Chiplet宛如一场“及时雨”。一方面,随着先进制程的发展,芯片的设计成本、复杂度大幅提升;另一方面,随着整个社会数字化、智能化程度的提升,大数据、消费电子、自动驾驶等需求正日趋多样,芯片创新周期不断压缩,市场对定制化芯片的需求也在大幅提升。

很长一段时间,SoC通过增加晶体管的集成密度提升芯片的PPA。而Chiplet的主要功能则是将SoC中的功能块进行拆分,再将不同工艺制程、不同性质的芯片整合在一起,通过二维芯片的堆叠、三维方向的连接,提升芯片间的集成密度,借此绕开先进制程方面的制约,用相对成熟的工艺实现,使得芯片生产成本更低,以及缩短产品开发周期,加速产品迭代。

芯和半导体联合创始人代文亮博士认为,相较于以往的常规工艺,Chiplet主要带来两方面的改变:一个是解决内存带宽跟不上处理器速度提升的问题,即“内存墙”问题;另一个则是提高良率。基于晶圆级的先进封装走线密度短,信号传输速率有很大提升空间,还能大大提高互连密度。

将大的SoC芯片切分成多个小芯片,甚至芯粒(Chiplet),然后使用先进的封装技术将它们连接在一起,这是对Chiplet实现方式最简要的通俗化概括。

对此,知名半导体专家陈启作了更进一步的解读:Chiplet可以拆解成三层概念,分别是异构架、小芯粒和系统级集成。其中,异构架主要指异构融合,一种说法称未来芯片设计将如同“搭积木”,就是指不同的芯片异构融合到一起;小芯粒则是指将大核SoC的中的各个功能单元的IP拆分重排,在设计效率和制造成本上寻找平衡点;系统级集成包含软集成和硬集成,软集成又包含系统级软件和操作系统,以及总线的互联标准,硬集成则是2D/2.5D/3D堆叠封装,是先进封装技术的再升级。

从产业链的视角,Chiplet是一个系统级工程,涉及设计、晶圆制造、封装、测试等多个环节。长电科技首席执行长郑力表示,从封测的角度看,核心在于如何在封装过程中通过优化布局获得更好的性能。同时,Chiplet技术的发展也必然要求芯片互联技术的进化,以及建立更多样化的互联新标准。

热潮涌动之下,不少对Chiplet的错误解读甚嚣尘上,将其视作先进制程的替代解决方案。它们通常盲目地夸大Chiplet的变革作用,又忽视其在技术及产业化上面临的挑战,仿佛Chiplet触手可得,如同搭积木般简单,倘若如此,半导体国产化实现弯道超车也不过是一件轻松事儿了。

对眼前的这股“Chiplet热”,陈启认为务必保持一定的克制。不少人被市场中喧嚣的声音裹挟,误解了Chiplet的真实含义,想当然地将其与先进制程的发展对立起来。甚至认为只要有了Chiplet技术,中国就可以摆脱美国的限制,或用Chiplet取代先进制程,这些都是十分片面的理解。

目前看来,业界对Chiplet的争论似乎很容易落入一个“陷阱”,真正的核心在于对Chiplet与先进封装两个概念的边界划定不清,进而轻易将二者混淆。本质上看,Chiplet并非一种特定技术,而是一种创新理念,是多种多样封装技术的集合,同时,带来芯片设计模式和方法的变更。

图 UCIe当前所覆盖的封装形式(数据来源:UCIe白皮书)

Chiplet国产化的机遇与局限

对Chiplet而言,有一个不容忽视的关键点,即它的出现源自先进制程的发展遭遇瓶颈。

相较不计成本地探索新工艺、新材料,Chiplet打开了一种新的思路,它不仅能降低芯片的开发成本,还能更好地把控良率。不过,将Chiplet与眼下芯片国产替代的愿景联系起来后,显然又产生了不同涵义。

由于Chiplet由多个芯片异构集成,每个芯片只需使用最合适的工艺节点,而非所有芯片都使用最先进的工艺节点,这就能更有效地利用国内已有的晶圆厂产线,降低先进工艺节点封锁带来的阻力,为国内半导体行业的侧面突围提供了一个非常好的机遇。

“Chiplet是一个方向,而非具体的技术,它可以使用多种封装技术来实现,对国内半导体产业而言,在先进制程发展受限的情况下,Chiplet带来的最大意义在于以时间换空间,而非根本的解决之道。”集微咨询业务总监陈跃楠表示。

从长远的发展看,Chiplet将给国内半导体产业链带来革命性的变化。代文亮认为,在国际上具有相当实力的国内封装与基板厂商可能是短期内最大受益者。同时,Chiplet是对传统SiP技术的继承与发展。Chiplet具有迭代周期快、成本低、良率高等一系列优越特性,并且,这种“搭积木”式的设计方式,尤其适合我国系统设计企业切入。

Chiplet倡导的是以系统设计为驱动,将设计、制造、封测工程师在一个协作平台上有效串联,这对传统的单芯片的EDA流程将带来巨大挑战。代文亮表示,目前,EDA国际三巨头都在齐头并进,希望尽快形成新的设计流程并形成客户粘性。芯和作为国内唯一一家已经在Chiplet先进封装领域进行布局的EDA公司,也面临着巨大机遇。

“先进封装,或者说芯片成品制造,可能成为后摩尔时代的重要颠覆性技术之一,特别是后道制造在产业链中的地位愈发重要,有望成为集成电路产业的新的制高点。芯片成品制造将深刻改变集成电路产业链形态,并驱动包括芯片设计、晶圆制造、装备、材料等产业链上下游共同发生革命性变化,全产业链更紧密的协同发展趋势愈发明显。”郑力告诉集微网。

基于时代对算力需求的提升,Chiplet成为集成电路微系统集成进程中的一条必然的路径。从产业协作方面来看,这需要产业链各环节的企业形成更紧密的联系。目前,Chiplet在设计与测试、产业链协作、标准化等方面均面临不小的挑战。

就目前来看,Chiplet最大的局限性在于整个生态系统还没有建立完整,底层技术尚未打通。陈启指出,不少公司有很多好想法,但由于生态圈不成熟,尚无法落地。目前看来,能落地的都是高端数字芯片与内存的结合,如xPU+DRAM,解决原有系统的瓶颈。但是,从根本理念出发,Chiplet的未来目标是让各种芯片,如模拟、高压、MEMS、氮化镓光电器件都整合到一起,不同的芯片像搭积木一样结合起来。

对于国内发展Chiplet的前景,黄乐天的态度更为审慎。他表示:“国内应该在先进封装、异构集成等基础技术上下功夫,然后以此结合国内产业态势找到另一条技术路径,而不是盲目地挪用国外的概念,朝Chiplet方向发展并不能解决国内的问题。Chiplet虽然能提升拆分后晶圆的整体良率,但也会带来其他复杂问题,比如散热、供电、应力、信号传输等,需要很多额外的付出,只有良率提升带来的收益大于额外代价时才有实质意义。”

从技术层面来看,国内在Chiplet上并不占优。不过,中国是全球最大的电子产品生产制造基地,拥有十分广阔的下游市场,能定义的场景极为丰富。从这一点上出发,国内芯片公司可以与小客户之间更好地结合,有着不错的发展机会。

Chiplet是一次重要的学习机会

虽成立至今尚不足半年,“巨星云集”的UCIe联盟显然已成为推动Chiplet产业化的核心力量。该联盟旨在打造Chiplet通用互联标准,提供高带宽、低延迟、高性价比的芯片封装连接。UCIe联盟由英特尔、AMD、英伟达等主流通用处理器供应商与云厂商们积极组建。就在不久前,阿里巴巴成为首家加入UCIe董事会的中国大陆企业。

UCIe联盟董事会成员表 图源 UCIe联盟官网

从云服务厂商、芯片代工厂、原始设备制造商、芯片IP供应商和芯片设计公司纷纷加入UCIe联盟,不难看出计算产业对Chiplet标准建设和生态构建的期许。

在UCIe联盟最新的董事会成员列表中,可以看到微软、谷歌云、阿里云这些云厂商的身影,这是因为Chiplet能有效降低云厂商的芯片设计门槛。云厂商的加入,也让Chiplet产业更紧密地结合用户企业,有利于产业生态的成熟。Chiplet不依赖先进工艺提升产品性能,且能够兼容多种IP、芯片的特性,使得云厂商的硬件开发更加灵活高效。

从不同企业的立场出发,Chiplet的意义差异甚大。

SemiWiki创始人Daniel Nenni在接受集微网采访时表示,Chiplet对英特尔、AMD这些大型单片芯片企业更具价值,市场前景也非常可观。但苹果的SoC很可能不会选择Chiplet,因为它在能耗方面非常敏感,而这正是Chiplet的短板,再加上Chiplet做出来的芯片体积更大,也不大适合移动设备使用。对台积电而言,Chiplet的实现难度不算太大,并且它能提供多种多样的封装方式,芯片良率会因此提高许多。

UCIe联盟成立至今,国内已有芯原、芯耀辉、芯和半导体、芯动科技、芯云凌、长鑫存储、长电科技、通富微电、超摩科技、奇异摩尔、牛芯半导体、OPPO等多家企业加入。

国内厂商对Chiplet重视与投入,有望在相关标准建设和生态发展上发挥中国力量。比如,EDA代表厂商芯和参与制定了中国计算机互连技术联盟(CCITA)Chiplet标准《小芯片接口总线技术要求》,亦是首家加入UCIe联盟的国产EDA厂商,为Chiplet互连提供电磁场仿真验证方案。不久前,长电科技的“长电微电子晶圆级微系统集成高端制造项目”正式开工,该项目旨在进一步整合全球高端技术资源,瞄准芯片成品制造尖端领域。其中,长电科技的自主性XDFOI™多维先进封装技术也将成为项目的产能重点之一。

“对中国来说,发展Chiplet的好处有很多。从底层逻辑上讲,是在性能、制造成本、时间成本之间找平衡。从更长远的发展来看,Chiplet能教会中国公司如何从系统高度看问题,学习如何定义一款芯片,其中会牵涉到很多新技术、新理念,是国内半导体产业链的一次自我学习、自我升级的机会。”陈启说。(校对/李晓延)

本文来自网络,不代表趣头条立场,转载请注明出处:https://www.ngnnn.com/article/4_87558.html
上一篇B站月活站上3亿的隐忧:营收失速 亏损扩大
下一篇盯上铲屎官钱包?手机大厂首款智能猫砂盆确认:57L超大空间

为您推荐

北京大学研究员研制出国际上最大规模集成光量子芯片

北京大学研究员研制出国际上最大规模集成光量子芯片

​如果我们真的无法通过硅芯片技术超越欧美,那么是否可以借助光芯片实现“弯道超车”呢?近日,有报道称,我国的光芯片技术有重大突破!北京大学王剑威研究员、龚旗煌教授课题组与合作者经过6年联合攻关,研制了基于超大规模集成硅基光子学的图论“光量子计算芯片”——“博雅一号”,发展出了超大规模集成硅基光量子芯片
韩国芯片滞销:任正非2年前的预言,应验了?

韩国芯片滞销:任正非2年前的预言,应验了?

中国庞大的市场需求,将倒逼芯片制造商想方设法冲破美国封锁,向中国供货。正解局出品最近,韩国统计厅发布的数据显示,1月韩国芯片制造商的芯片库存与销售比达到265.7%,创下26年来的最高值。韩国芯片,滞销了!2年前,华为任正非预言,全世界芯片过剩时,会有人求着我们买芯片的。这一刻,来了吗?库存率,指的是商品库存
地表最强?苹果A16芯片现身跑分平台 成绩有点尴尬

地表最强?苹果A16芯片现身跑分平台 成绩有点尴尬

【手机中国新闻】苹果的A系列核心处理器,性能一般都非常强大,甚至曾经被不少网友赞誉为“地表最强”。而如今,随着iPhone 14系列的正式发布,我们也迎来了苹果的全新A16处理器。根据官方的信息,A16只配备在iPhone 14 Pro和iPhone 14 Pro Max这两款机型上,定位较低的另外两款产品依然只搭载了A15芯片。苹果如此设计,可
消息人士:三星和SK海力士将首当其冲受到美国对中国芯片的打击

消息人士:三星和SK海力士将首当其冲受到美国对中国芯片的打击

集微网消息,据路透社报道,拜登政府计划让SK海力士和三星免受中国存储芯片制造商新限制的冲击,消息人士称。美国商务部计划本周发布对中国技术出口的新限制,可能会拒绝美国供应商向中国公司发送设备的请求。然而,消息人士称,向在中国生产先进存储芯片的外国公司出售设备的许可申请将根据具体情况进行审查,这可能会允许
ChatGPT从下游应用”火“到了上游芯片厂,国内谁将受益?

ChatGPT从下游应用”火“到了上游芯片厂,国内谁将受益?

因库存陷入低迷周期的半导体市场近日因ChatGPT的火热而重新受到外界关注。由于ChatGPT属于生成式AI,被誉为“AI芯片”第一股的英伟达应声而涨。2月13日收盘,英伟达最新股价已达到217美元,较今年1月3日的143美元上涨50%。英伟达创始人兼CEO黄仁勋在一场演讲中甚至将ChatGPT视为“人工智能领域的iPhone时刻”,并称其为“计
知乎发布“知海图AI”大模型 官方:已储备充足A100芯片

知乎发布“知海图AI”大模型 官方:已储备充足A100芯片

腾讯科技讯 4月13日,知乎在北京召开“2023知乎发现大会”,首次公开旗下与面壁智能共建的大模型产品“知海图AI”,双方合作将以联合研发与战略投资的方式展开。据了解,目前“知海图AI”已经应用到“热榜摘要”功能当中,通过对知乎社区现有的内容进行聚合、整理,然后提炼成摘要展现给用户,目前该功能已开放内测。官方表
麒麟芯片绝版 台积电越来越离不开苹果了:超级VIP客户

麒麟芯片绝版 台积电越来越离不开苹果了:超级VIP客户

台积电是全球最大也是工艺最先进的晶圆代工厂,无晶圆芯片设计公司几乎都要依赖台积电代工,包括苹果、AMD、高通、联发科、NVIDIA等等,其中苹果当之无愧地成为台积电第一大客户,而且依赖程度越来越高,2021年近4成收入都来自苹果。根据,市场调研机构Strategy Analytics数据,近年来台积电来自苹果的收入比重逐渐提高。
芯片库存调整影响ASML一季度业绩,预计中国市场收入将大幅增长

芯片库存调整影响ASML一季度业绩,预计中国市场收入将大幅增长

界面新闻记者 | 彭新界面新闻编辑 | 全球消费电子低迷背景下,客户去库存、订单持续调整的影响已传导至半导体设备厂商。“鉴于整个行业都在致力于实现更合理的库存水平,我们持续接收到来自不同终端市场多样化的需求信号。一部分主要客户正在对其需求节奏做进一步的调整;但那些对成熟制程DUV光刻机有需求的客户,正在消化
Mini LED背光面板驱动芯片成功导入理想汽车,哪家厂商?

Mini LED背光面板驱动芯片成功导入理想汽车,哪家厂商?

9月1日,聚积宣布进入理想汽车供应链,其Mini LED背光面板驱动芯片成功导入理想汽车SUV-L9车载显示器系统。理想L9方向盘上搭载了一块Mini LED背光交互屏据介绍,理想L9驾驶座设计风格简洁,以HUD抬头显示系统搭配安全驾驶交互屏取代仪表板,驾驶人所需的行车信息则通过HUD抬头显示系统投射到前风挡上,因此视线不再需要离开
受芯片出口减少等影响,韩对华出口额下滑24.2%,连跌9个月

受芯片出口减少等影响,韩对华出口额下滑24.2%,连跌9个月

【环球时报驻韩国特约记者  张静】受芯片出口减少等影响,韩国今年2月对华出口额下滑24.2%,连跌9个月。“美国政府公布芯片补贴细则,韩企在中美之间左右为难”,韩国KBS电视台2日的报道称,对韩国企业而言,美国和中国均为十分重要的市场。三星电子西安芯片工厂生产40%的NAND闪存芯片,SK海力士将近一半的DRAM产量和约20%
嘉楠科技2022年财报:营收同比下滑12.1% 芯片业务难当大任

嘉楠科技2022年财报:营收同比下滑12.1% 芯片业务难当大任

财联社3月8日讯(记者 徐赐豪) 北京时间7日晚,比特币矿机制造商嘉楠科技公布了2022年第四季度和全年财报。受2022年加密货币市场行情低迷的影响,该公司2022年第四季度收入为5680万美元,较2022年第三季度的1.419亿美元下降59.9%,2022年第四季度的净亏损为6360万美元。此外,嘉楠科技2022年全年收入为6.349亿美元,相比2
多款重磅芯片产品亮相WAIC,为元宇宙算力“蓄能”

多款重磅芯片产品亮相WAIC,为元宇宙算力“蓄能”

2022世界人工智能大会(WAIC 2022)召开前夕,第一财经记者探访世博中心展台,华为昇腾、瀚博半导体、燧原科技等一众芯片参与者齐聚,届时将有包括国产7纳米云端GPU等重磅产品发布。目前,上海已汇聚全国40%左右的集成电路人才,最新数据显示,今年1至7月,上海集成电路产业销售额同比增长超过18%;上海已成为国内集成电路
标准电源类芯片收入下滑 芯朋微上半年增收不增利

标准电源类芯片收入下滑 芯朋微上半年增收不增利

集微网报道 8月29日,芯朋微发布半年度报告称,2022年上半年,公司实现营业收入3.75亿元,同比增长15%;归母净利润0.58亿元,同比下降16.99%;扣非净利润0.45亿元,同比下降28.23%。芯朋微表示,上半年公司产品销售单价总体稳定,销量持续增长推动销售额同比增长15%。其中:家用电器类芯片适配于白电的 AC-DC+ Gate driver
传前阿里达摩院AI芯片研发负责人骄旸加盟三星GPU团队

传前阿里达摩院AI芯片研发负责人骄旸加盟三星GPU团队

摘要:4月11日消息,据业内传闻显示,前阿里达摩院AI芯片研发负责人、前理想汽车AI芯片研发负责人骄旸近期已加盟三星电子,成为其GPU团队的核心成员,主要负责项目规划、团队创建。4月11日消息,据业内传闻显示,前阿里达摩院AI芯片研发负责人、前理想汽车AI芯片研发负责人骄旸近期已加盟三星电子,成为其GPU团队的核心成员
昇显微推出超低功耗显示驱动芯片

昇显微推出超低功耗显示驱动芯片

近日,昇显微突破AMOLED智能穿戴产品续航瓶颈,推出了具备超低待机功耗显示驱动芯片SD3302,可使智能手表整机待机时间延长2天。当前,可穿戴设备正成为显示应用市场新的增长点。根据ABI Research报告,2021 年行业可穿戴设备的出货量就已经超过3亿台,预计2022年将达到3.44亿台左右,2027年将超过6.5亿台,2022年至2027年间
挑战英伟达?微软拟推AI芯片雅典娜:训练大语言模型,成本省三分之一

挑战英伟达?微软拟推AI芯片雅典娜:训练大语言模型,成本省三分之一

为突围芯片短缺困境,微软拟推出自研人工智能芯片。当地时间4月18日,据美国科技媒体The Information报道,微软(Microsoft)准备推出人工智能芯片,为负责理解和生成类人语言的大型语言模型(LLM)提供动力。两位直接了解相关项目的人士透露,微软自2019年开始开发内部代号为“雅典娜”(Athena)的芯片。据悉,微软已向一
卷完模型卷芯片!为提升效率,微软准备推出专属人工智能芯片

卷完模型卷芯片!为提升效率,微软准备推出专属人工智能芯片

在早期成功押注ChatGPT的研发公司OpenAI之后,市场发现,微软在其武器库中还拥有另一个秘密武器:自研人工智能芯片,这一芯片将为生成式AI背后的大型语言模型提供强大动力。4月18日周二,据媒体援引两位知情人士的话说,微软早在2019年就开始开发内部代号为Athena的AI芯片。其中一位知情人士称,一些微软和OpenAI的员工已经
无锡:希望英飞凌加大分立器件、功率器件及智能卡芯片等产线投入力度

无锡:希望英飞凌加大分立器件、功率器件及智能卡芯片等产线投入力度

集微网消息,据无锡日报报道,8月30日,无锡市市长赵建军与英飞凌大中华区总裁苏华一行工作会谈。赵建军表示,希望英飞凌加快推动项目达产,持续扩大既有项目产能,加快整合全球产能布局,加大分立器件、功率器件及智能卡芯片等产线投入力度;统筹谋划在锡布局,积极研究推动在锡设立地区分拨中心、分销中心等功能性机构,
英伟达芯片一周涨了7万元!GPT带动涨价潮,主力芯片缺口达30万

英伟达芯片一周涨了7万元!GPT带动涨价潮,主力芯片缺口达30万

本文来源:时代财经  作者:谢斯临    图片来源:Pixabay ChatGPT爆火带动AI芯片需求飙升。截至上周五,英伟达最新发布的旗舰AI芯片H100在ebay上的售价超过4万美元一枚。相比此前零售商3.6万美元的报价,已提价明显。这一价格仍在持续上涨。4月19日,时代财经搜索eBay时发现,目前共有5家店铺挂牌销售H100芯片,售价普遍达
PK苹果?高通芯片即将解锁卫星通信功能 安卓手机翻身机会来了

PK苹果?高通芯片即将解锁卫星通信功能 安卓手机翻身机会来了

财联社上海1月6日讯(编辑 黄君芝)高通公司(Qualcomm Inc. )周四表示,该芯片制造商已与卫星通讯公司铱卫星(Iridium)合作,在运行谷歌安卓操作系统的高端智能手机上提供基于卫星的短信服务。两家公司表示,原理上这项功能与苹果的“紧急救助SOS”类似,但能够允许用户向任何人发送消息。几个月前,苹果公司也推出了类
返回顶部